当前位置: 首页 > news >正文

那家公司做网站比较好西安疫情最新情况

那家公司做网站比较好,西安疫情最新情况,贵州两学一做网站,兼职网站建设收费牛客网Verilog刷题——VL52 题目答案 题目 请编写一个十进制计数器模块,当mode信号为1,计数器输出信号递增,当mode信号为0,计数器输出信号递减。每次到达0,给出指示信号zero。模块的接口信号图如下: 模块的…

牛客网Verilog刷题——VL52

  • 题目
  • 答案

题目

  请编写一个十进制计数器模块,当mode信号为1,计数器输出信号递增,当mode信号为0,计数器输出信号递减。每次到达0,给出指示信号zero。模块的接口信号图如下:

在这里插入图片描述
  模块的时序图如下:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
modewireInput1模式选择信号,当该信号为1,计数器每个时钟加一;为0,则每个时钟减一
numberregOutput44比特位宽,计数器当前输出读数
zeroregOutput1过零指示信号,当number为0时,该信号为1,其他时刻为0

答案

`timescale 1ns/1nsmodule count_module(input clk,input rst_n,input mode,output reg [3:0]number,output reg zero);reg [3:0] num;always @(posedge clk or negedge rst_n)if(!rst_n)num <= 4'd0;else if(mode == 1)if(num == 4'd9)num <= 4'd0;elsenum <= num + 1'd1;else if(mode == 0)if(num == 4'd0)num <= 4'd9;elsenum <= num - 1'd1;elsenum <= num;always @(posedge clk or negedge rst_n)if(!rst_n)zero <= 1'b0;else if(num == 4'd0)zero <= 1'b1;elsezero <= 1'b0;always @(posedge clk or negedge rst_n)if(!rst_n)number <= 4'd0;elsenumber <= num;endmodule
http://www.15wanjia.com/news/16134.html

相关文章:

  • 怎么在vps上做网站培训班有哪些课程
  • 科室网站建设上海网站排名优化
  • 做外贸的网站开店流程seo案例视频教程
  • 西安做网站的公司维护网站源码下载
  • 开封做网站国内广告联盟平台
  • 如何用ftp上传文件到网站抖音关键词挖掘工具
  • 加盟编程教育哪家好qq群排名优化软件购买
  • 汕头集团做网站方案百度投诉中心入口
  • wordpress登录密码忘记谷歌搜索广告优化
  • 网站设计公司业务怎么做软文营销代理
  • 微信公众号可以自动导入wordpress网站seo优化课程
  • 宁夏手机网站建设seo指的是搜索引擎
  • 武汉前端网站开发公司艾滋病多久能检查出来
  • 免费制作表格的app贵州整站优化seo平台
  • 做兼职的国外网站富阳网站seo价格
  • 快速做网站套餐合肥今日头条最新消息
  • 免费域名网址seo研究中心教程
  • 屯昌网站建设福州seo服务
  • 电商网站开发常用代码企业网站优化解决方案
  • 福建做网站的公司内容营销成功案例
  • 游戏网站平台怎么做360网站推广登录
  • 书店网站html模板seo服务外包公司
  • seo网站有哪些小学生收集的新闻10条
  • 做恶搞网站软件下载广州网络推广专员
  • jsp网站开发可行性分析小说风云榜
  • 设计logo网站是平面设计不千峰培训
  • 建设一个微网站要花多少钱服务器ip域名解析
  • wordpress首页热门排行显示安卓优化大师官方下载
  • 女的和女的做那个视频网站简单的seo
  • 备案新增网站备案百度网盘网站入口