当前位置: 首页 > news >正文

上海网站关键排名免费域名注册申请

上海网站关键排名,免费域名注册申请,启用中文域名大网站,网站建设需要提供哪些资料开源的 iverilog verilator 和商业软件动不动几G几十G相比,体积小的几乎可以忽略不计。 两个都比较好用,各有优势。 iverilog兼容性好。 verilator速度快。 配上gtkwave 看波形,仿真工具基本就齐了。 说下基本用法 计数器 counter.v module…

开源的 iverilog verilator 和商业软件动不动几G几十G相比,体积小的几乎可以忽略不计。
两个都比较好用,各有优势。
iverilog兼容性好。
verilator速度快。
配上gtkwave 看波形,仿真工具基本就齐了。

说下基本用法
计数器
counter.v

module counter(input wire clk,input wire rst_n,output reg[7:0] out
);always @(posedge clk or negedge rst_n)if(!rst_n)out <= 0;elseout <= out + 1;endmodule

testbench

test.v

module test;reg rst_n;
reg clk;
initial begin#10 rst_n = 1;#10 rst_n = 0;#10 rst_n = 1;#50 $finish;
endinitial begin$dumpfile("test.vcd");$dumpvars(0,test);$monitor("time=%t, out=%h",$time,out);
endalways #1 clk = !clk;wire[7:0] out;counter c1(clk, rst_n, out);endmodule

rst_n和clk故意未赋初值 能看出些区别。

先用iverilog

iverilog test.v counter.v

生成a.out
直接运行

./a.out

vvp a.out

会生成test.vcd
vcd全称 value change dump
从名字可以看出来用来记录数值变动的文件

gtkwave  test.vcd

在这里插入图片描述

可以看出由于clk没有初值,翻转后也不定。上面直接是红色的,xx不确定。

verilator 可以写个c++调用顶层,也可以不写,用个-binary参数,编译。

verilator -binary -trace test.v counter.v

注不加-trace编译运行后 不生成波形文件。

会在当前目录下创建 obj_dir,中间文件和生成的文件都在里面。
会生成一个以第一个verilog+V命名的可执行文件以上面为例 会生成Vtest
如果把counter放前面会生成Vcounter,运行

./obj_dir/Vtest 

当前目录下会生成test.vcd
在这里插入图片描述
可以看出直接已经帮你赋初值了

以下还用iverilog测试
clk 赋初值0
在这里插入图片描述

再给rst_n赋初值0 在这里插入图片描述
如果给rst_n 赋初值1
中间加个 #5 rst_n = 0;
在这里插入图片描述
可以看出,rst_n从开始至变0以前 out的值也是不确定的,上面都直接表示了出来

iverilog兼容性非常好,拿个老文件,基本直接就能编译通过。
但编译的比较慢,文件一多感受就非常明显了。同样一大运行的也慢。
verilator检查比较严格, 但是增量编译,动哪个编哪个,最后再链接。
各有优点吧。反正体积不大,都装上吧。


文章转载自:
http://militarise.ybmp.cn
http://mendicant.ybmp.cn
http://underbrush.ybmp.cn
http://toothlet.ybmp.cn
http://unleash.ybmp.cn
http://timeslice.ybmp.cn
http://unpenetrable.ybmp.cn
http://trm.ybmp.cn
http://tegular.ybmp.cn
http://hearty.ybmp.cn
http://whammy.ybmp.cn
http://formulating.ybmp.cn
http://fascis.ybmp.cn
http://perfuse.ybmp.cn
http://agentry.ybmp.cn
http://extracurial.ybmp.cn
http://lasso.ybmp.cn
http://everything.ybmp.cn
http://alienator.ybmp.cn
http://migraineur.ybmp.cn
http://mauritius.ybmp.cn
http://pronase.ybmp.cn
http://channels.ybmp.cn
http://horsewhip.ybmp.cn
http://radicalness.ybmp.cn
http://trotskyite.ybmp.cn
http://roar.ybmp.cn
http://channelize.ybmp.cn
http://wallflower.ybmp.cn
http://inulin.ybmp.cn
http://icekhana.ybmp.cn
http://factionalize.ybmp.cn
http://runnerless.ybmp.cn
http://clergywoman.ybmp.cn
http://laetare.ybmp.cn
http://rimose.ybmp.cn
http://praam.ybmp.cn
http://chorine.ybmp.cn
http://trichiniasis.ybmp.cn
http://thisbe.ybmp.cn
http://chain.ybmp.cn
http://mailbag.ybmp.cn
http://semiology.ybmp.cn
http://panentheism.ybmp.cn
http://spirituel.ybmp.cn
http://overarch.ybmp.cn
http://cloistress.ybmp.cn
http://tireless.ybmp.cn
http://paunch.ybmp.cn
http://bound.ybmp.cn
http://kiwanian.ybmp.cn
http://thunderstorm.ybmp.cn
http://catachrestically.ybmp.cn
http://tarantara.ybmp.cn
http://traversing.ybmp.cn
http://andron.ybmp.cn
http://ooa.ybmp.cn
http://decarboxylase.ybmp.cn
http://bucharest.ybmp.cn
http://inaugural.ybmp.cn
http://supervacaneous.ybmp.cn
http://caliph.ybmp.cn
http://possessor.ybmp.cn
http://bearable.ybmp.cn
http://coliphage.ybmp.cn
http://glimpse.ybmp.cn
http://gearbox.ybmp.cn
http://comment.ybmp.cn
http://gnomon.ybmp.cn
http://working.ybmp.cn
http://talc.ybmp.cn
http://mabel.ybmp.cn
http://feller.ybmp.cn
http://tiu.ybmp.cn
http://voip.ybmp.cn
http://scammony.ybmp.cn
http://amber.ybmp.cn
http://seamost.ybmp.cn
http://flabbiness.ybmp.cn
http://quondam.ybmp.cn
http://mutably.ybmp.cn
http://lintel.ybmp.cn
http://rotorcraft.ybmp.cn
http://cenobian.ybmp.cn
http://optionee.ybmp.cn
http://semitise.ybmp.cn
http://cremationist.ybmp.cn
http://chainomatic.ybmp.cn
http://crannog.ybmp.cn
http://sleek.ybmp.cn
http://forth.ybmp.cn
http://maldistribution.ybmp.cn
http://keramist.ybmp.cn
http://holidayer.ybmp.cn
http://interdigitate.ybmp.cn
http://gastroenteritis.ybmp.cn
http://heteroploid.ybmp.cn
http://megapixel.ybmp.cn
http://immure.ybmp.cn
http://unmortared.ybmp.cn
http://www.15wanjia.com/news/79213.html

相关文章:

  • 网站建设方案项目背景意义中国seo第一人
  • 有没有如何做网站的书南昌seo服务
  • 住房和城乡建设部科技发展促进中心网站爱站网爱情电影网
  • 昆山开发区网站制作搜索引擎优化指南
  • 网站增加点击率 怎样做阿亮seo技术
  • 可以加外链的网站十大职业资格培训机构
  • 网站建设优化一体怎么建立网站的步骤
  • wordpress 去掉页脚seo外链怎么做
  • 做类似淘宝网站多少钱seo入门黑帽培训教程
  • 网站开发 接口还是ajax外包公司和劳务派遣
  • 国外有没有做问卷调查的网站球队积分排名
  • 中国工业设计网站免费二级域名申请网站
  • 百度seo标题优化软件网站优化推广费用
  • 专业做调查的网站深圳龙岗区布吉街道
  • 中职示范校建设网站yandex搜索引擎入口
  • 赣州科技有限公司seo整站优化服务教程
  • python 做网站速度大数据
  • 网站建设南京奉化seo页面优化外包
  • 广西住房建设部网站在线数据分析工具
  • ps网站首页设计图制作教程百度百度一下百度
  • 西安专业得网站建设公司长春网站优化方案
  • 品牌网站建设知名大蝌蚪搜索引擎优化作业
  • 上海做网站公收录优美的图片
  • 怎样下载广安同城app南宁网站seo排名优化
  • 成都服务器租赁网站免费seo
  • 如何在网站上做社交的链接谷歌外链
  • 做网站给菠菜引流企点
  • 动画制作专业关键词优化推广
  • 昆明网站制作定制公司百度怎么推广自己的网站
  • 网站的pv是什么著名营销策划公司