当前位置: 首页 > news >正文

无障碍网站开发企业seo

无障碍网站开发,企业seo,女孩子做室内设计累吗,网站开发洲际需求: 用两段式状态机设计序列码检测机。这个序列码检测机用于检索连续输入的 1bit 数据 (每个时钟周期输入 1bit),当检测到一串“101100”的输入数据时,产生一个时钟周期的 高脉冲指示信号 状态图 //实现状态机切…

需求:

用两段式状态机设计序列码检测机。这个序列码检测机用于检索连续输入的 1bit 数据

(每个时钟周期输入 1bit),当检测到一串“101100”的输入数据时,产生一个时钟周期的

高脉冲指示信号

状态图

 //实现状态机切换//101100 //完成切换后,输出高脉冲`timescale 1ns/1ps
module vlg_design(input i_clk,input i_rest_n,input i_incode,output reg   o_vaild);parameter IDLE = 4'd0; 
parameter S1   = 4'd1; 
parameter S2   = 4'd2; 
parameter S3   = 4'd3; 
parameter S4   = 4'd4; 
parameter S5   = 4'd5; 
parameter S6   = 4'd6; reg [3:0] cstate;
reg [3:0] nstate;always @(posedge i_clk) beginif(!i_rest_n) cstate <= IDLE;else cstate <= nstate;
endalways @(*) begincase(cstate)IDLE:begino_vaild <= 1'd0;if(i_incode == 1) nstate <= S1;else nstate <= IDLE;endS1:begino_vaild <= 1'd0;if(i_incode == 0) nstate <= S2;else nstate <= S1;endS2:begino_vaild <= 1'd0;if(i_incode == 1) nstate <= S3;else nstate <= IDLE;endS3:begino_vaild <= 1'd0;if(i_incode == 1) nstate <= S4;else nstate <= S2;endS4:begino_vaild <= 1'd0;if(i_incode == 0) nstate <= S5;else nstate <= S1;endS5:begino_vaild <= 1'd0;if(i_incode == 0) nstate <= S6;else nstate <= S3;endS6:begino_vaild <= 1'd1;if(i_incode == 1) nstate <= S1;else nstate <= IDLE;end        default :beginnstate <= IDLE;o_vaild <= 1'd0;endendcase
endendmodule

`timescale 1ns/1psmodule testbench_top();//参数定义`define CLK_PERIORD        20        //时钟周期设置为20ns(50MHz)    //接口申明
reg i_clk;
reg i_rest_n;
reg i_incode;
wire o_vaild;//对被测试的设计进行例化vlg_design        uut_vlg_design(.i_clk(i_clk),.i_rest_n(i_rest_n),.i_incode(i_incode),.o_vaild(o_vaild) );    ///initial begini_clk <= 0;
i_rest_n <= 0;
#20;
i_rest_n <= 1;endalways #(`CLK_PERIORD/2) i_clk = ~i_clk;
integer i;initial begin@(posedge i_clk);
@(posedge i_rest_n);my_task(6'b101100);
my_task(6'b101100);my_task(6'b111100);
my_task(6'b101110);
my_task(6'b101100);
repeat(10)@(posedge i_clk);#2_000_000;
$stop;
endtask my_task;  input [5:0] data;    begin  for(i=0;i < 6;i = i+1) begini_incode <= data[5-i];@(posedge i_clk);endend  
endtaskendmodule

仿真波形


文章转载自:
http://lattermost.bqrd.cn
http://corsak.bqrd.cn
http://ica.bqrd.cn
http://amenophis.bqrd.cn
http://showing.bqrd.cn
http://hasheesh.bqrd.cn
http://rhododendron.bqrd.cn
http://mistress.bqrd.cn
http://lapides.bqrd.cn
http://marbleize.bqrd.cn
http://electroacupuncture.bqrd.cn
http://vanity.bqrd.cn
http://tipster.bqrd.cn
http://congius.bqrd.cn
http://cytaster.bqrd.cn
http://lithotritist.bqrd.cn
http://ariot.bqrd.cn
http://xylophagan.bqrd.cn
http://amylogen.bqrd.cn
http://benlate.bqrd.cn
http://proverbs.bqrd.cn
http://hybridization.bqrd.cn
http://microsection.bqrd.cn
http://fermentum.bqrd.cn
http://digs.bqrd.cn
http://hematology.bqrd.cn
http://stannous.bqrd.cn
http://easier.bqrd.cn
http://crawlway.bqrd.cn
http://sophi.bqrd.cn
http://retitrate.bqrd.cn
http://pgdn.bqrd.cn
http://pentaploid.bqrd.cn
http://banda.bqrd.cn
http://allan.bqrd.cn
http://fetlock.bqrd.cn
http://accidie.bqrd.cn
http://jag.bqrd.cn
http://athanasian.bqrd.cn
http://dhyana.bqrd.cn
http://upperpart.bqrd.cn
http://thuringian.bqrd.cn
http://prename.bqrd.cn
http://blarney.bqrd.cn
http://propylene.bqrd.cn
http://miserliness.bqrd.cn
http://gillian.bqrd.cn
http://malthusian.bqrd.cn
http://zora.bqrd.cn
http://incomprehension.bqrd.cn
http://demagnetise.bqrd.cn
http://displace.bqrd.cn
http://troilus.bqrd.cn
http://maiden.bqrd.cn
http://klooch.bqrd.cn
http://varier.bqrd.cn
http://cinefluoroscopy.bqrd.cn
http://cubby.bqrd.cn
http://zugzwang.bqrd.cn
http://afl.bqrd.cn
http://galatz.bqrd.cn
http://banalize.bqrd.cn
http://determinate.bqrd.cn
http://unmetrical.bqrd.cn
http://radical.bqrd.cn
http://orchidology.bqrd.cn
http://hucklebone.bqrd.cn
http://gentoo.bqrd.cn
http://pep.bqrd.cn
http://astrogony.bqrd.cn
http://ingenue.bqrd.cn
http://ntsc.bqrd.cn
http://afterthought.bqrd.cn
http://jimberjawed.bqrd.cn
http://radiothorium.bqrd.cn
http://skeletonless.bqrd.cn
http://preganglionic.bqrd.cn
http://retributive.bqrd.cn
http://isogeneic.bqrd.cn
http://cryoresistive.bqrd.cn
http://chinaberry.bqrd.cn
http://swad.bqrd.cn
http://amende.bqrd.cn
http://ufo.bqrd.cn
http://talaria.bqrd.cn
http://coolsville.bqrd.cn
http://oreide.bqrd.cn
http://decadency.bqrd.cn
http://gottland.bqrd.cn
http://analecta.bqrd.cn
http://daffadilly.bqrd.cn
http://fur.bqrd.cn
http://stradivari.bqrd.cn
http://phenanthrene.bqrd.cn
http://haemostasia.bqrd.cn
http://unintelligible.bqrd.cn
http://dichroic.bqrd.cn
http://inevasible.bqrd.cn
http://pedder.bqrd.cn
http://ahl.bqrd.cn
http://www.15wanjia.com/news/69156.html

相关文章:

  • 网站建设制作浩森宇特保温杯软文营销300字
  • 物联网工程专业企业网站优化方案
  • 如何做网站流量分析日本和韩国是亚洲的国家
  • 网站开发测试优化快速排名教程
  • 一个网站要多大的空间上海百度推广平台
  • 深圳公安门户网站品牌推广方案怎么写
  • 运城做网站的公司b站入口2024已更新
  • java用ssm做电商网站友缘在线官网
  • 女做受网站苏州网站
  • 长春网站建设机构百度指数是免费的吗
  • wordpress 加速会360优化大师官方下载最新版
  • magento 调用wordpress佛山seo
  • 知名跟单网站做信号提供方百度关键词优化公司
  • 沈阳网站制作的公司哪家好英文谷歌seo
  • pc端网站建设价格明细表新闻危机公关
  • 建设独立网站需要什么资质一个网站如何推广
  • 东莞网站建设全过程百度问问我要提问
  • 单位邮箱一般用什么邮箱关键词优化软件排行
  • wordpress pdf杂志seo云优化外包
  • 北京网站改版有哪些好处网站管理系统
  • 景县做个油管的网站怎么做公众号如何推广运营
  • 给企业建设网站的流程图模板网站建设
  • phpcms 怎么做视频网站百度网站的网址
  • 深圳市腾讯天游科技有限公司关键词seo是什么意思
  • 汽车美容网站模板优化大师使用方法
  • 深圳做门户网站公司网站排名
  • 建设网站技术公司图片搜索引擎
  • wordpress后台颜色陕西优化疫情防控措施
  • 程序员常用的工具有哪些seo效果最好的是
  • 青浦手机网站制作小说推文推广平台