当前位置: 首页 > news >正文

做论坛网站需要备案网络推广工作能长久吗

做论坛网站需要备案,网络推广工作能长久吗,天元建设集团有限公司注册资金,wordpress父主题和子主题LV6_多功能数据处理器 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 描述 根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a…

LV6_多功能数据处理器

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

描述

根据指示信号select的不同,对输入信号a,b实现不同的运算。输入信号a,b为8bit有符号数,当select信号为0,输出a;当select信号为1,输出b;当select信号为2,输出a+b;当select信号为3,输出a-b.
接口信号图如下:

在这里插入图片描述

输入描述:

clk:系统时钟

rst_n:复位信号,低电平有效

a,b:8bit位宽的有符号数

select:2bit位宽的无符号数

输出描述:

c:9bit位宽的有符号数

代码

`timescale 1ns/1ns
module data_select(input clk,input rst_n,input signed[7:0]a,input signed[7:0]b,input [1:0]select,output reg signed [8:0]c
);
//*************code***********//
/*代码思路:case(select)来执行不同的输出
select = 0: 输出a
select = 1: 输出b
select = 2: 输出a+b	
select = 3: 输出a-b*/always @(posedge clk or negedge rst_n) beginif(!rst_n)c <= 0;else begincase (select)2'd0: begin c <= a;end2'd1: begin c <= b;end2'd2: begin c <= a + b;end2'd3: begin c <= a - b;endendcaseendend//*************code***********//
endmodule
http://www.15wanjia.com/news/28276.html

相关文章:

  • 广告业网站开发开封seo公司
  • 家用云做网站百度推广运营怎么做
  • 大数据政府网站建设seo外包公司优化
  • 旅游网站开发搜索引擎优化面对哪些困境
  • 威海做网站多少钱写软文赚钱的平台都有哪些
  • 建立团购网站信息流广告模板
  • 栾城哪家公司做网站他达拉非片
  • 河北省地图企业网站优化外包
  • 中文网站编辑云盘搜索引擎入口
  • 永州冷水滩网站建设淘宝关键词搜索量排名
  • dw 8做的网站怎么上传免费网站做seo
  • 广州做网站的电商最好卖的十大产品
  • 肇庆网站建设公司网站测试报告
  • 摄影设计网站北京最新发布信息
  • 新闻网站建设条件青岛网站建设方案优化
  • 完善集团网站功能建设搜索引擎有哪些种类
  • 阿里云可以做哪些网站专业做网站公司
  • 怎么做营销网站百度竞价托管一月多少钱
  • wang域名 网站如何查看网站收录情况
  • 做交网站seo推广软件怎样
  • 国外空间网站备案广告网站留电话不用验证码
  • 临沂网站seo百度电话查询
  • 专做日淘的网站百度推广关键词技巧定价
  • 免费建站还用学做网站吗裤子seo标题优化关键词
  • 网站建设项目进度表抚州网络推广
  • 网站制作价格上海重庆seo推广公司
  • 现在做网站开发吗找营销推广团队
  • 建设网站代码什么样的人适合做营销
  • wordpress的seo插件嘉兴seo外包平台
  • 加强残联网站建设烟台seo关键词排名