当前位置: 首页 > news >正文

知名外贸网站建设公司wordpress影视

知名外贸网站建设公司,wordpress影视,3d做ppt模板下载网站,佛山市三山新城建设局网站LV5_位拆分与运算 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 题目描述: 现在输入了一个压缩的16位数据,其实际上包含了四个数据…

LV5_位拆分与运算

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

题目描述:

现在输入了一个压缩的16位数据,其实际上包含了四个数据,[3:0],[7:4],[11:8],[15:12]

现在请按照sel选择输出四个数据的相加结果,并输出valid_out信号(在不输出时候拉低)

0: 不输出且只有此时的输入有效

1:输出[3:0]+[7:4]

2:输出[3:0]+[11:8]

3:输出[3:0]+[15:12]

信号示意图:

在这里插入图片描述

波形示意图:

在这里插入图片描述

输入描述:
输入信号 d, clk, rst
类型 wire
在testbench中,clk为周期5ns的时钟,rst为低电平复位

输出描述:

输出信号 validout out
类型 reg

代码

`timescale 1ns/1nsmodule data_cal(input clk,input rst,input [15:0]d,input [1:0]sel,output reg [4:0]out,output reg validout
);
//*************code***********//
/*代码思路:先将d分为4个单独的变量;
根据sel的值来选择不同的输出,case语句
*/	reg [15:0] enable_in;		//定义一个寄存器变量来存储有效输入时d的值reg [3:0] data1,data2,data3,data4 ;always @(*) beginif(sel == 0) begin		//sel=0,只有此时的输入有效 ,将此时的d的值存起来enable_in <= d;data1 <= enable_in[3:0];data2 <= enable_in[7:4];data3 <= enable_in[11:8];data4 <= enable_in[15:12];	endend//case循环,根据sel的值来执行不同的输出always @(posedge clk or negedge rst) beginif(!rst) beginvalidout <= 0;out <= 0;endelse begincase (sel)2'd0 : beginout <= 0;validout <= 0;enable_in <= d;end2'd1 : beginout <= data1 + data2;validout <= 1;enable_in <= 0;end2'd2 : beginout <= data1 + data3;validout <= 1;enable_in <= 0;end2'd3 : beginout <= data1 + data4;validout <= 1;enable_in <= 0;enddefault : beginout <= 0;validout <= 0;enable_in <= 0;endendcaseendend//*************code***********//
endmodule
http://www.15wanjia.com/news/158930.html

相关文章:

  • 6人小组logo设计苏州seo网络推广
  • 设计签名免费网站网站做直播吗
  • 最超值的网站建设做电影网站模板教学
  • 玉树州公司网站建设在哪个网站上可以找兼职做
  • 河南省建设工程招标投标协会网站新媒体营销课程心得体会
  • 域名备案的网站名称公司网站背景图片
  • 服务器内部打不开网站医疗器械公司网站备案怎么做
  • 手机如何制作网站和网页企业邮箱的登录方式
  • 黄冈网站搭建推荐一个网站有多大
  • 镇江市网站天津开发区建设工程管理中心网站
  • 网站开发报告参考文献php与mysql网站开发全接触
  • 做网站烧钱网站和微信公众号建设方案
  • 网站首页动图怎么做电商网站建设题库
  • 佛山制作网站公司哪家好营销号是啥意思
  • 网站做好了怎样推广汤阴做网站
  • 自己学做网站需要学多久阿里巴巴1688官网网页版
  • 网站开发任务概述网站开发总结
  • 电影网站怎么建设兰州 网站建设公司哪家好
  • asp网站连接数据库做网站主要学什么条件
  • 专门做电子书的网站巩义推广网站哪家好
  • 做外贸网站多久更新常州电子商务网站建设
  • 整站网站优化wordpress商城 中文站
  • 网站制作定制18竞价恶意点击报案
  • 中国国际贸易网站桂林两江四湖地图
  • 免费网站个人注册企业网站建设介绍
  • 网站建设科技北京有限公司规划局网站建设工作总结
  • 做网站要用到数据库吗连连建设跨境电商网站
  • 淘宝客网站建站教程沈阳网站建
  • html5微网站demo超轻粘土做动漫网站
  • 网站优化 seo和semui设计自学网站推荐