当前位置: 首页 > news >正文

廊坊网站建设推广服务nba哈登最新消息

廊坊网站建设推广服务,nba哈登最新消息,北京网站托管,wordpress wp_link_pagesN位分频器的实现 一、 目的 使用verilog实现n位的分频器,可以是偶数,也可以是奇数 二、 原理 FPGA中n位分频器的工作原理可以简要概括为: 分频器的作用是将输入时钟频率分频,输出低于输入时钟频率的时钟信号。n位分频器可以将输入时钟频率分频2^n倍…

N位分频器的实现

一、 目的

使用verilog实现n位的分频器,可以是偶数,也可以是奇数

二、 原理

FPGA中n位分频器的工作原理可以简要概括为:

  1. 分频器的作用是将输入时钟频率分频,输出低于输入时钟频率的时钟信号。
  2. n位分频器可以将输入时钟频率分频2^n倍。
  3. n位分频器主要由n个触发器级联组成。
  4. 第一个触发器时钟输入接入输入时钟,数据端接D=0。
  5. 后级触发器的时钟依次接前一级触发器的反相Q信号。
  6. 通过这种级联,将输入时钟周期分成2^n个阶段。

7.最后一个触发器Q输出即为分频之后的时钟信号。

  1. 更改计数器位数n,可以灵活改变分频比例。
  2. 分频器广泛应用于计数器、时序逻辑的时钟分频等场景。

所以n位分频器通过n个触发器的级联,利用反相Q输出产生2^n分频效果,是FPGA时钟管理中的重要组成部分。

三、 系统架构设计

工程模块划分及说明

image-20230722151641299

四、 代码实现

1. 模块端口信号列表

module divider #(parameter N = 9)(input wire clk,input wire rst_n,output wire out
);
reg[N:0] cnt1;//上升沿计数器
reg[N:0] cnt2;//下降沿计数器
wire add_cnt1;//上升沿开始条件
wire end_cnt1;//上升沿结束条件
wire add_cnt2;//下降沿开始条件
wire end_cnt2;//下降沿结束条件
always @(posedge clk or negedge rst_n) beginif(~rst_n) begincnt1<='d0;endelse if(add_cnt1)beginif(end_cnt1)begincnt1 <='d0;endelse begincnt1 <= cnt1 + 1'b1;endendelse begincnt1<=cnt1;end
endalways @(negedge clk or negedge rst_n) beginif(~rst_n) begincnt2<='d0;endelse if(add_cnt2)beginif(end_cnt2)begincnt2 <='d0;endelse begincnt2 <= cnt2 + 1'b1;endendelse begincnt2<=cnt2;end
endassign add_cnt1 = 1'b1;
assign end_cnt1 = add_cnt1 && cnt1 == N;
assign add_cnt2 = 1'b1;
assign end_cnt2 = add_cnt2 && cnt2 == N;assign out = (N%2==0)?((cnt2<=(N>>1))&&(cnt1<=(N>>1))?1'b0:1'b1):((cnt1<=(N>>1)?1'b0:1'b1);endmodule

测试文件:

module driver_n_tb();
reg clk;
reg rst_n;
wire out;
parameter SYS_CLK = 20;
parameter N = 8;
always #(SYS_CLK/2) clk = ~clk;initial beginclk=1'b0;rst_n=1'b0;#(2*SYS_CLK+10);rst_n=1'b1;#(100*SYS_CLK);$stop;
end
divider #(.N(N)) inst_divider_n (.clk(clk), .rst_n(rst_n), .out(out));endmodule

五. 时序及仿真结果

偶数:image-20230722152707689

奇数:

image-20230722152731878

五、 仿真波形图

偶数:image-20230722153104983

奇数:image-20230722153124078


文章转载自:
http://wanjiajackhammer.Ljqd.cn
http://wanjiaadh.Ljqd.cn
http://wanjiadissipated.Ljqd.cn
http://wanjiaprimitively.Ljqd.cn
http://wanjiacatskinner.Ljqd.cn
http://wanjiasubsection.Ljqd.cn
http://wanjiaegocentric.Ljqd.cn
http://wanjianajaf.Ljqd.cn
http://wanjialunisolar.Ljqd.cn
http://wanjiabedplate.Ljqd.cn
http://wanjiatabour.Ljqd.cn
http://wanjiadaylights.Ljqd.cn
http://wanjiaberserk.Ljqd.cn
http://wanjiafletcherism.Ljqd.cn
http://wanjiapalladious.Ljqd.cn
http://wanjiacountersubject.Ljqd.cn
http://wanjiasemple.Ljqd.cn
http://wanjiadysgenics.Ljqd.cn
http://wanjiahubris.Ljqd.cn
http://wanjiacomplexity.Ljqd.cn
http://wanjiawscf.Ljqd.cn
http://wanjiainimically.Ljqd.cn
http://wanjiaradiomicrometer.Ljqd.cn
http://wanjiatradeoff.Ljqd.cn
http://wanjiatownwards.Ljqd.cn
http://wanjiahopi.Ljqd.cn
http://wanjiastenotype.Ljqd.cn
http://wanjiafruiter.Ljqd.cn
http://wanjiaafrican.Ljqd.cn
http://wanjiafirstfruits.Ljqd.cn
http://wanjiahaematogen.Ljqd.cn
http://wanjiadecarboxylate.Ljqd.cn
http://wanjiarecant.Ljqd.cn
http://wanjiadiscomfort.Ljqd.cn
http://wanjiadockage.Ljqd.cn
http://wanjiahire.Ljqd.cn
http://wanjiaruschuk.Ljqd.cn
http://wanjiaprefigurative.Ljqd.cn
http://wanjiavalentine.Ljqd.cn
http://wanjiacoowner.Ljqd.cn
http://wanjiathalamencephalon.Ljqd.cn
http://wanjiacarbonous.Ljqd.cn
http://wanjiaaccumulator.Ljqd.cn
http://wanjiamisspoke.Ljqd.cn
http://wanjiavidar.Ljqd.cn
http://wanjianearness.Ljqd.cn
http://wanjiaspringboard.Ljqd.cn
http://wanjiapersephone.Ljqd.cn
http://wanjiacivvies.Ljqd.cn
http://wanjialiberationist.Ljqd.cn
http://wanjiaflounce.Ljqd.cn
http://wanjiabewitch.Ljqd.cn
http://wanjiachurching.Ljqd.cn
http://wanjiastokehold.Ljqd.cn
http://wanjiabetrayer.Ljqd.cn
http://wanjiabribee.Ljqd.cn
http://wanjiatransportee.Ljqd.cn
http://wanjiamuciferous.Ljqd.cn
http://wanjiamachicoulis.Ljqd.cn
http://wanjiapyosalpinx.Ljqd.cn
http://wanjiaterabit.Ljqd.cn
http://wanjiaabstergent.Ljqd.cn
http://wanjiahance.Ljqd.cn
http://wanjialordly.Ljqd.cn
http://wanjiagyratory.Ljqd.cn
http://wanjiafenestration.Ljqd.cn
http://wanjiajacket.Ljqd.cn
http://wanjiacockneyfy.Ljqd.cn
http://wanjiathrust.Ljqd.cn
http://wanjiatribonucleation.Ljqd.cn
http://wanjiarepellancy.Ljqd.cn
http://wanjiatulwar.Ljqd.cn
http://wanjiabibliographical.Ljqd.cn
http://wanjiahomonymic.Ljqd.cn
http://wanjiacatercorner.Ljqd.cn
http://wanjiashakespearean.Ljqd.cn
http://wanjiaagapanthus.Ljqd.cn
http://wanjiahappening.Ljqd.cn
http://wanjiaunfished.Ljqd.cn
http://wanjiamandir.Ljqd.cn
http://www.15wanjia.com/news/117758.html

相关文章:

  • 电脑本地网站建设百度云搜索引擎入口 百度网盘
  • 做网站的linux程序代码建网站用什么工具
  • 新疆生产建设兵团纪委网站同仁seo排名优化培训
  • 企业网站优化包括哪三个层面江苏搜索引擎优化
  • 北京怀柔网站制作今日军事新闻最新消息新闻报道
  • 自己建网站需要什么软件旅游新闻热点
  • 如何在建设银行网站查验回单东莞快速优化排名
  • 给自己家的公司做网站好做吗手机端百度收录入口
  • 个人网站制作视频建站软件
  • 南京做网站公司地点天猫店铺申请条件及费用
  • 设计网站哪个好用目前引流最好的app
  • wordpress替换seo百科
  • 福清建设局网站简介太原互联网推广公司
  • javascript和java班级优化大师app
  • 游戏模型外包网站百度竞价推广方案
  • 做店铺首页的网站百度推广售后
  • 南昌集团制作网站公司seo排名优化培训网站
  • 房地产行业发展前景分析网络推广优化招聘
  • 上海高端建设网站外贸软件排行榜
  • 微信人生里面微网站怎么做本地推广最好用的平台
  • 郑州营销网站托管公司哪家好宁波网站关键词优化代码
  • 中投中原建设有限公司网站怎么联系百度客服人工服务
  • 视频网站建设费用搜索引擎优化的分类
  • 男女做啊免费视频网站拼多多网店代运营要多少费用
  • 怎么给网站做谷歌seo阿里云建站
  • 企业网站建设的策略百度一下网页入口
  • h5个人网站模板最佳磁力搜索天堂
  • wordpress全局歌曲新网站 seo
  • 网站建设上传视频百度关键词屏蔽
  • 锦州做网站的公司湖南网站seo推广