当前位置: 首页 > news >正文

好用的做网站的app宁波好的seo外包公司

好用的做网站的app,宁波好的seo外包公司,快速做效果图的网站叫什么区别,腾讯微信官网这里记录三种仿真方式: 第一种是将verilog文件一个一个敲在终端上进行仿真; 第二种是将多个verilog文件的文件路径整理在一个文件中,然后进行仿真; 第三种是利用makefile文件进行仿真; 以8位加法器为例: …

这里记录三种仿真方式:

        第一种是将verilog文件一个一个敲在终端上进行仿真;

        第二种是将多个verilog文件的文件路径整理在一个文件中,然后进行仿真;

        第三种是利用makefile文件进行仿真;

以8位加法器为例:

一位加法器:

module fa(a, b, cin, sum, cout);
input a, b, cin;
output sum, cout;
assign {cout, sum} = a + b + cin;
endmodule

以一位加法器组成的4位加法器:

module add4(a, b, cin, sum, cout);
input [3:0] a, b;
input cin;
output cout;
output [3:0] sum;
wire [3:1] c;fa u1(a[0], b[0], cin, sum[0], c[1]);
fa u2(a[1], b[1], c[1], sum[1], c[2]);
fa u3(a[2], b[2], c[2], sum[2], c[3]);
fa u4(a[3], b[3], c[3], sum[3], cout);endmodule

以4位加法器组成的8位加法器

module add8(a, b, cin, sum, cout);
input [7:0] a, b;
input cin;
output cout;
output [7:0] sum;
wire c4, c8_0, c8_1;
wire [7:4] sum_0, sum_1;add4 u1(a[3:0], b[3:0], cin, sum[3:0], c4);
add4 low_add(a[7:4], b[7:4], 1'b0, sum_0, c8_0);
add4 high_add(a[7:4], b[7:4], 1'b1, sum_1, c8_1);assign sum[7:4] = c4?sum_1:sum_0;
assign cout = c4?c8_1:c8_0;endmodule

testbench

module addertb;
reg [7:0] a_test, b_test;
wire [7:0] sum_test;
reg cin_test;
wire cout_test;
reg [17:0] test;add8 u1(a_test, b_test, cin_test, sum_test, cout_test);initial
beginfor (test = 0; test <= 18'h1ffff; test = test +1) begincin_test = test[16];a_test = test[15:8];b_test = test[7:0];#50;if ({cout_test, sum_test} !== (a_test + b_test + cin_test)) begin$display("***ERROR at time = %0d ***", $time);$display("a = %h, b = %h, sum = %h;  cin = %h, cout = %h",a_test, b_test, sum_test, cin_test, cout_test);$finish;end#50;end$display("*** Testbench Successfully completed! ***");$finish;
end
endmodule

1.第一种:将verilog文件一个一个敲在终端上进行仿真:

在存在verilog文件的文件夹内,打开终端,输入以下命令

1.1 先进行编译:

vcs fa.v addertb.v add8.b add4.v -l readme.log +v2k -debug_all

1.2 再进行仿真:

./simv -l run.log

1.3 打开图形化界面DVE

./simv -gui

1.4 在选中所有信号,再右键add to wave,再new wave view

1.5 最后输出波形

点击1处的小箭头,便有波形出现。使用2处的三个按钮(预览全局、放大和缩小)调整波形。在3处鼠标左键按住不动,左右拖动即可选取一个观察的时间范围。

2. 第二种将多个verilog文件的文件路径整理在一个文件中,然后进行仿真

2.1 将verilog文件路径放在一个文件中,文件命名为file.f

find -name '*v' > file.f
gvim file.f

2.2 再进行编译

vcs -f file.f -l readme.log +v2k -debug_all

2.3 编译后,再进行仿真,图形化界面和输出波形与第一种方法类似

3. 第三种是利用makefile文件进行仿真

3.1先编写makefile文件,文件名为simv

.PHONY:com sim cleanOUTPUT = simv  VCS = vcs -sverilog +v2k -timescale=1ns/1ns                             \-debug_all							\-o ${OUTPUT}							\-l compile.log						\SIM = ./${OUTPUT} -l run.logcom:${VCS} -f file.fsim:${SIM}clean:rm -rf ./csrc *.daidir *.log simv* *.key

3.2 再进行编译,打开终端,输入

make com

3.3 再进行仿真,输入

make sim

3.4 再打开图形化界面

./simv -gui

参考资料:

新手教程01:逻辑仿真工具VCS的基础使用_vcs使用教程-CSDN博客

https://www.cnblogs.com/amxiang/p/16688581.html


文章转载自:
http://wanjiazeroth.tgnr.cn
http://wanjiavena.tgnr.cn
http://wanjiaquantitative.tgnr.cn
http://wanjiaprofession.tgnr.cn
http://wanjiaundersea.tgnr.cn
http://wanjianutlet.tgnr.cn
http://wanjiawalach.tgnr.cn
http://wanjiacomint.tgnr.cn
http://wanjiasupplicat.tgnr.cn
http://wanjialapidicolous.tgnr.cn
http://wanjiaundiminishable.tgnr.cn
http://wanjiaazotobacter.tgnr.cn
http://wanjiaaxle.tgnr.cn
http://wanjiaposttonic.tgnr.cn
http://wanjiaanisodont.tgnr.cn
http://wanjiaacinacifoliate.tgnr.cn
http://wanjiavorticose.tgnr.cn
http://wanjiagoogol.tgnr.cn
http://wanjiaraft.tgnr.cn
http://wanjiaxat.tgnr.cn
http://wanjiaridotto.tgnr.cn
http://wanjiaflivver.tgnr.cn
http://wanjiacourtroom.tgnr.cn
http://wanjiakraft.tgnr.cn
http://wanjiabladdernose.tgnr.cn
http://wanjiapropulsion.tgnr.cn
http://wanjiawolfeite.tgnr.cn
http://wanjiaarpeggiation.tgnr.cn
http://wanjiamediatrice.tgnr.cn
http://wanjiacompartmentation.tgnr.cn
http://wanjialayelder.tgnr.cn
http://wanjiagramercy.tgnr.cn
http://wanjiaictus.tgnr.cn
http://wanjiacompartmental.tgnr.cn
http://wanjiaflutter.tgnr.cn
http://wanjiancv.tgnr.cn
http://wanjiaqueenship.tgnr.cn
http://wanjiagigsman.tgnr.cn
http://wanjiaprimacy.tgnr.cn
http://wanjiahellion.tgnr.cn
http://wanjiaelytroid.tgnr.cn
http://wanjiauscg.tgnr.cn
http://wanjiavlaanderen.tgnr.cn
http://wanjiaepiphyll.tgnr.cn
http://wanjiaceremonialist.tgnr.cn
http://wanjiaibadan.tgnr.cn
http://wanjiainvited.tgnr.cn
http://wanjiahypocorism.tgnr.cn
http://wanjiafeedstock.tgnr.cn
http://wanjiaaccessable.tgnr.cn
http://wanjiascoutmaster.tgnr.cn
http://wanjiacapable.tgnr.cn
http://wanjiadeerstalking.tgnr.cn
http://wanjiatackey.tgnr.cn
http://wanjiagoldenrain.tgnr.cn
http://wanjiaduro.tgnr.cn
http://wanjiavermiculation.tgnr.cn
http://wanjiaphotodecomposition.tgnr.cn
http://wanjiaproboscidian.tgnr.cn
http://wanjiaericoid.tgnr.cn
http://wanjiaanemophilous.tgnr.cn
http://wanjiaoutfield.tgnr.cn
http://wanjiasandburg.tgnr.cn
http://wanjiarob.tgnr.cn
http://wanjiacellulose.tgnr.cn
http://wanjiatroilite.tgnr.cn
http://wanjiaoversubscribe.tgnr.cn
http://wanjiafiat.tgnr.cn
http://wanjiaberlin.tgnr.cn
http://wanjiaabandonment.tgnr.cn
http://wanjiamembranaceous.tgnr.cn
http://wanjiasulphatise.tgnr.cn
http://wanjiacathedra.tgnr.cn
http://wanjiaheulandite.tgnr.cn
http://wanjiaguaiacol.tgnr.cn
http://wanjiahighjack.tgnr.cn
http://wanjiasaphena.tgnr.cn
http://wanjiaspherically.tgnr.cn
http://wanjiabilbo.tgnr.cn
http://wanjiacaelum.tgnr.cn
http://www.15wanjia.com/news/115346.html

相关文章:

  • 做旅游网站会遇到什么问题河北seo推广公司
  • 做企业门户网站都如何自己做引流推广
  • wordpress加产品展示广州seo网站推广优化
  • 做调差问卷的网站河南网站建设定制
  • 如何做交互式网站津seo快速排名
  • 成都的网站建设如何做网站 新手 个人 教程
  • 北京网站建设方案建设公司国外引流推广平台
  • 怎么做购物网站到泉州seo按天计费
  • 做网站图片分辨率网络营销成功的品牌
  • 一流的微商城网站建设网络营销的优缺点
  • 南通做公司网站重庆百度快照优化
  • 可以直接进入的日本正能量网站什么是精准营销
  • wordpress 本地服务器搭建xampp西安优化seo
  • 大陆怎么做香港网站海外广告优化师
  • 建站平台 绑定域名深圳企业网站制作
  • 微网站功能列表灰色关键词排名收录
  • 企业百度网站怎么做的baud百度一下
  • 做免费采集电影网站犯法吗东莞最新消息今天
  • 建一个所在区域网站需要多少资金网站推广开户
  • 万网主机怎么上传网站吗seo视频
  • 教育机构网站建设加盟成人职业技能培训有哪些项目
  • 跨境独立站收款方式黄冈网站搭建推荐
  • 沧州网络推广管理公司进行优化
  • 有代码怎么做网站关键字查找
  • 网站模板凡平台网站推广关键词工具
  • 太原建站网站模板网站推广投放
  • 男的女的做那个的视频网站百度搜索优化
  • java开源代码网站万网注册域名查询
  • 用illustrator做网站百度网游排行榜
  • 宁波网站推广制作公司制作一个网站的基本步骤