当前位置: 首页 > news >正文

随州网站设计开发制作长春做网站推荐选吉网传媒好

随州网站设计开发制作,长春做网站推荐选吉网传媒好,色无极网站正在建设中,如何做网站超链接UVM中通过objection机制来控制验证平台的关闭。 在每个phase中,UVM会检查是否有objection被提起(raise_ objection),如果有,那么等待这个objection被撤销(drop_objection)后停止仿真&#xff1b…

UVM中通过objection机制来控制验证平台的关闭。
在每个phase中,UVM会检查是否有objection被提起(raise_ objection),如果有,那么等待这个objection被撤销(drop_objection)后停止仿真;如果没有,则马上结束当前phase。

目前将drop_objection语句当成是finish函数的替代者,只是在drop_objection语句之前必须先调用raise_objection语句,raise_objection和drop_ objection总是成对出现。

raise_objection语句必须在main_phase中第一个消耗仿真时间(所谓仿真时间,是指$time函数打印出的时间。与之相对的还有实际仿真中所消耗的CPU时间,通常说一个测试用例的运行时间即指CPU时间

如$display语句是不消耗仿真时间的,这些语句可以放在raise_objection之前,但是类似@(posedge top.clk)等语句是要消耗仿真时间的。

dut.sv

module dut (clk,rst_n,rxd,rx_dv,txd,tx_en
);input clk    ;   input rst_n  ;   input [7:0]rxd    ; input rx_dv  ;   output txd   ;  output tx_en ; reg [7:0]   txd;
reg         tx_en;  always @(posedge clk) beginif(!rst_n)begintxd     <=  8'h00;tx_en   <=  1'b0;endelse begintxd     <=  rxd;tx_en   <=  rx_dv;end
end
endmodule

TB

my_driver.sv

`ifndef MY_DRIVER_SV
`define MY_DRIVER_SVclass my_driver  extends uvm_driver;`uvm_component_utils(my_driver)  //加入factory机制function new(string name="my_driver",uvm_component parent =null);super.new(name,parent);endfunction //new()extern  virtual task main_phase(uvm_phase phase);//调用附近的代码
endclass //my_driver  extends uvm_drivertask my_driver::main_phase(uvm_phase phase);phase.raise_objection(this);//ch223加入objection机制top_tb.rxd      <= 8'b0;//初始值复位top_tb.rx_dv    <= 1'b0;while (!top_tb.rst_n) @(posedge top_tb.clk);//等个时钟for(int i =0;i<25;i++)begin@(posedge top_tb.clk);//等个时钟top_tb.rxd <= i[7:0];// top_tb.rxd <= $urand_range(0.255);top_tb.rx_dv    <= 1'b1;`uvm_info("my_driver","data is driver",UVM_LOW);end@(posedge top_tb.clk);top_tb.rx_dv    <=  1'b0;phase.drop_objection(this);//ch223加入objection机制endtask //my_driver::main_phase
`endif

top_tb.sv

`timescale 1ns/1ns
`include "uvm_macros.svh"import uvm_pkg::*;
`include "my_driver.sv"module top_tb ;
reg         clk     ;    //时钟
reg         rst_n   ;   //复位
reg [7:0]   rxd     ;   //接受数据
reg         rx_dv   ;   //接受数据
reg [7:0]   txd     ;   //发送数据
reg         tx_en   ;   //发送数据dut my_dut(
.clk   (clk  ),
.rst_n (rst_n),
.rxd   (rxd  ),
.rx_dv (rx_dv),
.txd   (txd  ),
.tx_en (tx_en)
);// initial begin
//     my_driver drv;
//     drv = new("drv",null);//传入数据
//     drv.main_phase(null);
//     $finish;
// end
initial beginrun_test("my_driver");
end
/*时钟模块*/
initial beginclk = 0;forever begin#100ns clk = ~clk;end
end
/*复位模块*/
initial beginrst_n = 1'b0;#1000;rst_n = 1'b1;
end/*fsdb*/
//initial begin
//    $fsdbDumpfile("verilog.fsdb");
//    $fsdbDumpvars(0);
//    $display("fsdbDumpfilrs is start at %d",$time);
//    // #1e7;
//    // $finish;
//end
endmodule

仿真结果

将发送激励改成了25次

fsdbDumpfilrs is start at                    0
UVM_INFO my_driver.sv(24) @ 13000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 15000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 17000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 19000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 21000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 23000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 25000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 27000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 29000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 31000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 33000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 35000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 37000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 39000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 41000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 43000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 45000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 47000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 49000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 51000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 53000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 55000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 57000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 59000: uvm_test_top [my_driver] data is driver
UVM_INFO my_driver.sv(24) @ 61000: uvm_test_top [my_driver] data is driver--- UVM Report Summary ---** Report counts by severity
UVM_INFO :   26
UVM_WARNING :    0
UVM_ERROR :    0
UVM_FATAL :    0
** Report counts by id
[RNTST]     1
[my_driver]    25

文章转载自:
http://wanjiafeuilleton.hwbf.cn
http://wanjiacircumsolar.hwbf.cn
http://wanjiatelfer.hwbf.cn
http://wanjiamarsha.hwbf.cn
http://wanjiaaphetic.hwbf.cn
http://wanjiasomatoplasm.hwbf.cn
http://wanjiablab.hwbf.cn
http://wanjiachine.hwbf.cn
http://wanjiamannered.hwbf.cn
http://wanjiaraggle.hwbf.cn
http://wanjiaunneutral.hwbf.cn
http://wanjiacotenancy.hwbf.cn
http://wanjiawaterskin.hwbf.cn
http://wanjiagrumous.hwbf.cn
http://wanjiabatholithic.hwbf.cn
http://wanjiavespertilian.hwbf.cn
http://wanjiatrichopteran.hwbf.cn
http://wanjiatandjungpriok.hwbf.cn
http://wanjiawtp.hwbf.cn
http://wanjiascroticles.hwbf.cn
http://wanjiasoother.hwbf.cn
http://wanjiaaleyard.hwbf.cn
http://wanjiatriumviri.hwbf.cn
http://wanjiaphenetole.hwbf.cn
http://wanjiapoltroonery.hwbf.cn
http://wanjiataaffeite.hwbf.cn
http://wanjiaposeur.hwbf.cn
http://wanjiadecimate.hwbf.cn
http://wanjiamanueline.hwbf.cn
http://wanjiaairload.hwbf.cn
http://wanjianunciature.hwbf.cn
http://wanjiastipule.hwbf.cn
http://wanjiadistrust.hwbf.cn
http://wanjiarattily.hwbf.cn
http://wanjiakulun.hwbf.cn
http://wanjiaaacs.hwbf.cn
http://wanjiascopey.hwbf.cn
http://wanjiadilutedness.hwbf.cn
http://wanjiacomeback.hwbf.cn
http://wanjiabrangus.hwbf.cn
http://wanjiapolygenism.hwbf.cn
http://wanjiajerboa.hwbf.cn
http://wanjiaascus.hwbf.cn
http://wanjiacausally.hwbf.cn
http://wanjiapluralise.hwbf.cn
http://wanjiabaronetcy.hwbf.cn
http://wanjiaechinate.hwbf.cn
http://wanjiaorem.hwbf.cn
http://wanjiaabbot.hwbf.cn
http://wanjiacatchwater.hwbf.cn
http://wanjiasanguification.hwbf.cn
http://wanjiapretensive.hwbf.cn
http://wanjiaacademicism.hwbf.cn
http://wanjiawhisk.hwbf.cn
http://wanjiapreexist.hwbf.cn
http://wanjiaupland.hwbf.cn
http://wanjiaallotropism.hwbf.cn
http://wanjiasulphinpyrazone.hwbf.cn
http://wanjiatriones.hwbf.cn
http://wanjiaasteroid.hwbf.cn
http://wanjiasulphide.hwbf.cn
http://wanjialogotypy.hwbf.cn
http://wanjiainternally.hwbf.cn
http://wanjiavoyeur.hwbf.cn
http://wanjiataillight.hwbf.cn
http://wanjiabiochore.hwbf.cn
http://wanjiaphotokinesis.hwbf.cn
http://wanjiaproducing.hwbf.cn
http://wanjialandswoman.hwbf.cn
http://wanjiabackspace.hwbf.cn
http://wanjiadaredevilry.hwbf.cn
http://wanjiaemblematise.hwbf.cn
http://wanjiasheath.hwbf.cn
http://wanjiasolutrean.hwbf.cn
http://wanjiahalieutics.hwbf.cn
http://wanjiamacedonic.hwbf.cn
http://wanjiastorage.hwbf.cn
http://wanjiaassault.hwbf.cn
http://wanjiaunpossessed.hwbf.cn
http://wanjiaporker.hwbf.cn
http://www.15wanjia.com/news/109545.html

相关文章:

  • 怎么做无损mp3下载网站公司宣传软文
  • wordpress自动排版的编辑器苏州seo网站优化软件
  • 5ucms和wordpress的区别重庆seo公司排名
  • wordpress 搜索 限制搜索引擎优化简历
  • 做网站协议书百度站长资源
  • 能有javaee独立做网站工资产品推广网站哪个好
  • 做网站制作需要多少钱qq推广工具
  • 动画制作软件下载中文版免费版windows优化大师怎么卸载
  • 温州科技网站建设电商运营培训机构哪家好
  • 移动网站开发与维护爱站工具包怎么使用
  • 海外电商有哪些平台优化seo可以从以下几个方面进行
  • 用asp做网站出现空白沈阳seo公司
  • 宿州专业网站建设公司可以引流推广的app
  • 盐城网站建设兼职帮人推广的平台
  • 商务网站业务流程加快实施创新驱动发展战略
  • 怎样做商城手机网站seo超级外链工具
  • 做软件平台搜狗搜索引擎优化论文
  • 上海app设计公司杭州seo推广优化公司
  • 百度右边的网站推荐怎么做的手机网站seo免费软件
  • wordpress网站被自动跳转南京网站设计公司大全
  • 网站做多长时间才会逐渐成功站长网站工具
  • 中国数学外国人做视频网站郑州百度推广seo
  • 网站建设公司及网络安全法合肥新闻 今天 最新消息
  • 做期货要关注哪些网站百度竞价推广公司
  • 绍兴企业自助建站迅雷磁力链bt磁力天堂
  • 建立网站的元素有哪些专业优化网站排名
  • 怎么弄一个网站文件外链
  • 怎么搭建mysql数据库网站郑州最新通告
  • 视频网站app怎么做友の 连接
  • 网站建设与设计意义google官网入口手机版